ITE Technical Group Submission System
Conference Schedule
Online Proceedings
[Sign in]
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 1 - 20 of 49  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
IEICE-ICD, IEICE-SDM, IST [detail] 2022-08-09
14:00
Online On-line High-precision small capacitance difference measurement using proximity capacitance sensor
Yoshiaki Watanabe, Yuki Sugama, Yoshinobu Shiba, Rihito Kuroda, Yasuyuki Shirai, Shigetoshi Sugawa (Tohoku Univ.)
A proximity capacitance sensor for measuring small capacitance differences was designed and fabricated using 0.18 μm CMO... [more] IST2022-31
pp.71-76
IST 2021-10-21
10:50
Online   High-precision CMOS Proximity Capacitance Image Sensors with Large-format 12 µm and High-resolution 2.8 µm Pixels
Yuki Sugama, Yoshiaki Watanabe, Rihito Kuroda, Masahiro Yamamoto, Tetsuya Goto (Tohoku Univ.), Toshiro Yasuda, Shinichi Murakami, Hiroshi Hamori (OHT), Naoya Kuriyama (LAPIS Semiconductor), Shigetoshi Sugawa (Tohoku Univ.)
This paper presents newly developed two high-precision CMOS proximity capacitance image sensors: Chip A with 12 µm pitch... [more] IST2021-51
pp.13-16
IST 2021-10-21
11:10
Online   A 1000 fps High SNR Global Shutter CMOS image Sensor for In-situ Fluid Concentration Distribution Measurements
Tetsu Oikawa, Rihito Kuroda, Keigo Takahashi (Tohoku Univ.), Yoshinobu Shiba (Tohoku Univ./Fujikin), Yasuyuki Fujihara, Hiroya Shike, Maasa Murata, Chia-Chi Kuo, Yhang Ricardo Sipauba Carvalho da Silva, Tetsuya Goto, Tomoyuki Suwa, Tatsuo Morimoto, Yasuyuki Shirai (Tohoku Univ.), Masaaki Nagase, Nobukazu Ikeda (Fujikin), Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2021-52
pp.17-20
IEICE-SDM, IEICE-ICD, IST [detail] 2021-08-17
09:30
Online Online [Invited Talk] Development of sub-aF accuracy high resolution and realtime CMOS proximity capacitance image sensors
Rihito Kuroda, Yuki Sugama, Yoshiaki Watanabe, Tetsuya Goto, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2021-41
pp.1-4
IST 2021-03-26
10:45
Tokyo Online Noise reduction in CMOS image sensors using analog correlated multiple sampling with high density capacitors
Shunta Kamoshita, Manabu Suzuki (Tohoku Univ.), Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ)
 [more] IST2021-11
pp.13-16
IST 2021-03-26
11:05
Tokyo Online A Global Shutter Wide Dynamic Range Soft X-Ray CMOS Image Sensor with 45μm-Thick Backside-Illuminated Pinned Photodiode and Two-Stage LOFIC
Hiroya Shike, Rihito Kuroda, Ryota Kobayashi, Maasa Murata, Yasuyuki Fujihara, Manabu Suzuki, Shoma Harada (Tohoku Univ.), Taku Shibaguchi, Naoya Kuriyama (LAPIS Semiconductor), Takaki Hatsui (RIKEN), Jun Miyawaki (The Univ. of Tokyo/QST), Tetsuo Harada (Univ. of Hyogo), Yuichi Yamasaki (NIMS), Takeo Watanabe (Univ. of Hyogo), Yoshihisa Harada (The Univ. of Tokyo), Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2021-12
pp.17-20
IST 2020-03-27
17:20
Tokyo Kikaishinko kaikan
(Postponed)
A Single Exposure Linear Response Over 120dB Dynamic Range CMOS Image Sensor with Two-stage Lateral Overflow Integration Trench Capacitors
Yasuyuki Fujihara, Maasa Murata, Shota Nakayama, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Unib.)
This paper presents a prototype linear response single exposure CMOS image sensor with two-stage lateral overflow integr... [more] IST2020-25
pp.81-84
IST 2019-09-20
13:45
Tokyo Kikai-Shinko-Kaikan Bldg. Optical Performance of A 120 ke- Full Well Capacity and 160µV/e- Conversion Gain 2.8µm Back Side Illuminated Pixel with Lateral Overflow Integration Capacitor
Ken Miyauchi, Kazuya Mori, Isao Takayanagi, Junichi Nakamura (BRILLNICS), Shigetoshi Sugawa (Tohoku Univ.)
In this paper, we report about a prototype CMOS image sensor with a 2.8µm back side illuminated (BSI) pixel that employs... [more] IST2019-48
pp.21-24
IST 2019-06-18
14:40
Tokyo Tokyo University of Sci. Morito Kinenkan Measurement of gas concentration distribution in vacuum chamber using high SN ratio absorption imaging
Keigo Takahashi, Yhang Ricardo Sipauba Carvalho da Silva, Naoki Numao, Rihito Kuroda, Yasuyuki Fujihara, Maasa Murata, Hidekazu Ishii, Tatsuo Morimoto, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa (Tohoku Univ.)
This paper reports gas concentration absorption imaging in a vacuum chamber using a LOFIC CMOS image sensor with high si... [more] IST2019-37
pp.11-14
IST 2019-03-22
13:00
Tokyo Kikai-Shinko-Kaikan Bldg. A 24.3Me- Full Well Capacity and High Near Infrared Sensitivity CMOS Image Sensor with Lateral Overflow Integration Trench Capacitor
Maasa Murata, Rihito Kuroda, Yasuyuki Fujihara, Yusuke Otsuka (Tohoku Univ.), Hiroshi Shibata, Taku Shibaguchi, Yutaka Kamata, Noriyuki Miura, Naoya Kuriyama (LAPIS), Shigetoshi Sugawa (Tohoku Univ.)
This paper presents a 16$mu$m pixel pitch CMOS image sensor exhibiting 24.3Me- full well capacity and high near infrared... [more] IST2019-17
pp.27-32
IST 2019-03-22
15:35
Tokyo Kikai-Shinko-Kaikan Bldg. A CMOS Proximity Capacitance Image Sensor with 0.1aF Detection Accuracy
Masahiro Yamamoto, Rihito Kuroda, Manabu Suzuki, Tetsuya Goto (Tohoku Univ.), Hiroshi Hamori, Shinichi Murakami, Toshiro Yasuda, Yayoi Yokomichi (OHT Inc.), Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2019-21
pp.49-54
IST 2018-09-25
13:40
Tokyo Kikai-Shinko-Kaikan Bldg. A 125Mfps High Speed CMOS Image Sensor with Burst CDS Operation
Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
This paper presents a high speed global shutter CMOS image sensor with pixel-wise analog memory array achieving frame pe... [more] IST2018-48
pp.5-8
IST 2018-06-27
13:10
Tokyo Tokyo Univ. Sci.-Morito-Kinenkan [Poster Presentation] Imaging of Sub-ppm Order Ozonated Water Convection Using High Ultraviolet Light Sensitivity and High Saturation CMOS Image Sensor
Maasa Murata, Yasuyuki Fujihara, Yusuke Aoyagi, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
This paper reports ultraviolet (UV) light spectral absorption imaging technology using a high UV light sensitivity and h... [more] IST2018-34
pp.13-16
IST 2018-03-09
14:10
Tokyo NHK Housou-Gijyutu Lab. A Prototype Ultra-High Speed Global Shutter CMOS Image Sensor with 50Mfps Frame Rate
Manabu Suzuki, Masashi Suzuki, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
This paper presents a preliminary chip evaluation of over 50M frames per second (fps) burst global shutter stacked CMOS ... [more] IST2018-20
pp.39-42
IST 2017-09-25
10:40
Tokyo Kikai-Shinko-Kaikan Bldg. A Spectral Imaging System with an Over 70dB SNR CMOS Image Sensor and Electrically Tunable 10nm FWHM Multi-Bandpass Filter
Yusuke Aoyagi, Yasuyuki Fujihara, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Kohei Terashima, Takahiro Ishinabe, Hideo Fujikake (Tohoku Univ.), Kazuhiro Wako (NIT, Sendai College), Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2017-51
pp.9-12
IST 2017-09-25
11:10
Tokyo Kikai-Shinko-Kaikan Bldg. Statistical analysis of random telegraph noise in pixel source follower -- Impacts of transistor shape, time constants and number of states --
Rihito Kuroda, Akinobu Teramoto, Shinya Ichino, Takezo Mawaki, Shunichi Wakashima, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2017-52
pp.13-16
IST 2017-09-25
16:25
Tokyo Kikai-Shinko-Kaikan Bldg. A 224 ke Linear Saturation Signal Global Shutter CMOS Image Sensor with 3.875um pixel, in-pixel Pinned Storage, and Lateral Overflow Integration Capacitor
Shin Sakai, Yorito Sakano, Yoshiaki Tashiro, Yuri Kato, Kentaro Akiyama, Katsumi Honda, Mamoru Sato, Masakai Sakakibara, Tadayuki Taura, Kenji Azami, Tomoyuki Hirano, Yusuke Oike, Yasunori Sogo, Takayuki Ezaki, Tadakuni Narabu, Teruo Hirayama (SSS), Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2017-59
pp.43-46
IST 2017-03-10
10:40
Tokyo NHK Research Lab. Auditorium (Setagaya) An Ultra-High Speed Global Shutter CMOS Image Sensor with High Density Analog Memories
Manabu Suzuki, Masashi Suzuki, Rihito Kuroda (Tohoku Univ.), Yuki Kumagai, Akira Chiba, Noriyuki Miura, Naoya Kuriyama (LAPIS Semi. Miyagi), Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2017-10
pp.7-10
IST 2017-03-10
15:40
Tokyo NHK Research Lab. Auditorium (Setagaya) A high sensitivity and high readout speed electron beam detector using steep pn junction Si diode technology for low acceleration voltage
Rihito Kuroda, Yasumasa Koda, Masaya Hara, Hiroyuki Tsunoda, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2017-18
pp.39-42
IST 2016-11-17
16:30
Tokyo TITECH Tamachi Campus [Poster Presentation] 190-1100 nm waveband multispectral imaging system using high UV-light resistance 94dB dynamic range CMOS image sensor
Yasuyuki Fujihara, Satoshi Nasuno, Shunichi Wakashima, Yusuke Aoyagi, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
A 190-1100nm waveband multispectral imaging system is developed utilizing a highly UV-light sensitive and robust, wide d... [more] IST2016-66
pp.37-38
 Results 1 - 20 of 49  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format


[Return to Top Page]

[Return to ITE Web Page]


The Institute of Image Information and Television Engineers (ITE), Japan