ITE Technical Group Submission System
Conference Schedule
Online Proceedings
[Sign in]
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 21 - 40 of 47 [Previous]  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
IST 2016-11-17
16:30
Tokyo TITECH Tamachi Campus [Poster Presentation] 190-1100 nm waveband multispectral imaging system using high UV-light resistance 94dB dynamic range CMOS image sensor
Yasuyuki Fujihara, Satoshi Nasuno, Shunichi Wakashima, Yusuke Aoyagi, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
A 190-1100nm waveband multispectral imaging system is developed utilizing a highly UV-light sensitive and robust, wide d... [more] IST2016-66
pp.37-38
IST 2016-09-26
14:20
Tokyo Kikai-Shinko-Kaikan Bldg. A dead-time free global shutter stacked CMOS image sensor with in-pixel lateral overflow integration capacitor and ADC using pixel-wise direct connections
Rihito Kuroda, Hidetake Sugo, Shunichi Wakashima, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2016-44
pp.11-14
IST 2016-05-06
13:05
Tokyo   [Poster Presentation] Differential Spectral Response Based Ultraviolet Radiation Sensor Using Silicon Photodiodes
Yhang Ricardo Sipauba Carvalho da Silva, Yasumasa Koda, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
A bulk-Si ultraviolet radiation sensor was developed by extracting the differential spectral response of a high UV sensi... [more] IST2016-22
pp.5-8
IST 2016-05-06
13:05
Tokyo   [Poster Presentation] Ultraviolet Spectral Imaging using High Light Resistance Wide Dynamic Range CMOS Image Sensor
Yasuyuki Fujihara, Satoshi Nasuno, Shunichi Wakashima, Fumiaki Kusuhara, Hidekazu Ishii, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
This paper reports ultraviolet spectral imaging technology using a high light resistance wide dynamic range CMOS image s... [more] IST2016-24
pp.13-16
IST 2016-03-11
13:00
Tokyo NHK Research Lab (Setagaya) [Technology Exhibit] Visualization of Ultra High-Speed Phenomena by 10 Mfps Ultra High-Speed Camera with Improved Photosensitivity of ISO 16000
Manabu Suzuki, Masashi Suzuki, Fan Shao, Rihito Kuroda (Tohoku Univ.), Nobuyuki Tokuoka, Yasunori Kawaguchi, Hideki Tominaga (Shimadzu), Shigetoshi Sugawa (Tohoku Univ.)
In this paper, an ultra high-speed (UHS) CMOS image sensor which placed memories in the on-chip peripheral pixel region ... [more] IST2016-13
pp.25-28
IST 2015-09-18
16:10
Tokyo Kikai-Shinko-Kaikan Bldg. A CMOS Image Sensor with 240μV/e- Conversion Gain, 200ke- Full Well Capacity and 190-1000nm Spectral Response
Satoshi Nasuno, Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
In this paper, a CMOS image sensor introducing wide spectral sensitive PD technology, small floating diffusion (FD) capa... [more] IST2015-55
pp.49-52
IST 2015-09-18
16:40
Tokyo Kikai-Shinko-Kaikan Bldg. Analysis and Reduction of Floating Diffusion Capacitance Components and Application to High Sensitivity and High Full Well Capacity CMOS Image Sensor
Fumiaki Kusuhara, Shunichi Wakashima, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
This paper reports the analysis and reduction technology of components of floating diffusion (FD) capacitance (CFD) and ... [more] IST2015-56
pp.53-56
IST 2015-05-08
15:00
Tokyo   Effect of random telegraph noise reduction by atomically flat gate insulator film/Si interface
Rihito Kuroda, Toshiki Obara, Tetsuya Goto, Naoya Akagawa, Daiki Kimoto, Akinobu Teramoto, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2015-33
pp.35-38
IST 2015-03-27
14:55
Tokyo Kikai-Shinko-Kaikan Bldg. Pixel Gain and Linearity Range improvement of CMOS Image Sensor using Floating Capacitor Load Readout Operation
Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
This paper reports that the floating capacitor load readout operation has higher pixel signal readout gain and wider lin... [more] IST2015-20
pp.41-44
IST 2014-12-01
10:40
Tokyo Tamachi Campus, Tokyo Institute of Technology [Invited Talk] Wide spectral response and highly robust Si image sensor technology
Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2014-54
pp.7-8
IST, IEICE-ICD 2014-07-04
09:00
Shimane   [Invited Talk] High Speed and High Sensitivity CMOS Image Sensor Technologies for Ultimate Imaging Performances
Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2014-34
pp.37-44
IST 2014-06-02
13:10
Tokyo   [Poster Presentation] Ultra-High Speed Capturing of Dielectric Breakdown of Metal-Oxide-silicon Capacitor up to 10M frame per second
Han Sho, Daiki Kimoto, Kiichi Furukawa, Hidetake sugo, Tohru Takeda, Ken Miyauchi, Yasuhisa Tochigi, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2014-4
pp.13-16
IST 2014-06-02
13:10
Tokyo   [Poster Presentation] linear photodiode array sensors specialized for absorption and emission spectroscopy with fast readout speed and high stability to UV light exposure
Takahiro Akutsu, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2014-5
pp.17-20
IST 2014-06-02
15:40
Tokyo   [Tutorial Invited Lecture] Recent R&D trend of high S/N image sensors with one photon-level resolution
Rihito Kuroda (Tohoku Univ.)
 [more] IST2014-11
pp.39-46
IST 2014-03-14
14:00
Tokyo NHK A Statistical Analysis of Dependencies of Random Telegraph Noise Time Constants on Operation Conditions
Rihito Kuroda, Akihiro Yonezawa, Toshiki Obara, Akinobu Teramoto, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2014-12
pp.15-18
IST 2014-03-14
14:30
Tokyo NHK Pixel Structure of Ultra-High Speed CMOS Image Sensor with 20M Frame Per Second
Shigetoshi Sugawa, Ken Miyauchi, Tohru Takeda, Katsuhiko Hanzawa, Yasuhisa Tochigi, Shin Sakai, Rihito Kuroda (Tohoku Univ.), Hideki Tominaga, Ryuta Hirose, Kenji Takubo, Yasushi Kondo (SHIMADZU CORP.)
This paper discussed pixel structures to achieve maximum frame rate of 20Mfps and measurement results such as charge-tra... [more] IST2014-13
pp.19-22
IST 2013-09-30
14:40
Tokyo Kikai-Shinko-Kaikan Bldg. A CMOS Image Sensor with 200-1000 nm Spectral Response and High Robustness to Ultraviolet Light Exposure
Rihito Kuroda, Shun Kawada, Satoshi Nasuno, Taiki Nakazawa, Yasumasa Koda, Katsuhiko Hanzawa, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2013-47
pp.21-24
IST, ME, IEICE-BioX 2013-06-24
15:15
Ishikawa   Color reproductivity improvement with additional virtual color filters for White-RGB image sensor
Shun Kawada, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2013-32 ME2013-74
pp.17-20
IST 2013-05-31
13:00
Tokyo   [Poster Presentation] A CMOS Image Sensor using Floating Capacitor Load Readout Operation
Shunichi Wakashima, Yasuyuki Goda, Tsung-Ling Li, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.)
Floating capacitor load readout operation is a new readout operation of pixel signals which uses neither current source ... [more] IST2013-23
pp.33-36
IST 2013-05-31
13:00
Tokyo   [Poster Presentation] Si photodiode with high sensitivity and high stability to UV-light using high transmittance on-chip multilayer dielectric stack
Yasumasa Koda, Taiki Nakazawa, Rihito Kuroda, Yukihisa Nakao, Shigetoshi Sugawa (Tohoku Univ.)
 [more] IST2013-24
pp.37-40
 Results 21 - 40 of 47 [Previous]  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format


[Return to Top Page]

[Return to ITE Web Page]


The Institute of Image Information and Television Engineers (ITE), Japan